Simulink HDL Coder Icon

Simulink HDL Coder

Generate HDL code from Simulink models and MATLAB code.

Simulink HDL CoderOverview

Editor: Simulink HDL Coder generates bit-true, cycle-accurate, synthesizable Verilog and VHDL code from Simulink models, Stateflow charts, and Embedded MATLAB code. The automatically generated HDL code is target independent.

Simulink HDL Coder generates Verilog code that complies with the IEEE 1364-2001 standard and VHDL code that complies with the IEEE 1076 standard. As a result, you can verify the automatically generated HDL code using popular functional verification products, including Cadence® Incisive®, Mentor Graphics® ModelSim®, and Synopsys® VCS®. You can also map the automatically generated HDL code into field-programmable gate arrays (FPGAs) or application-specific integrated circuits (ASICs) using popular synthesis tools, such as Altera® Quartus® II, Cadence Encounter® RTL Compiler, Mentor Graphics® Precision®, Synopsys Design Compiler®, Synplicity® Synplify®, and Xilinx® ISE™.

Simulink HDL Coder also generates HDL test benches that help you verify the generated HDL code using HDL simulation tools.

Key Features
Generates synthesizable HDL code from Simulink models and Embedded MATLAB™ code for datapath implementations
Generates synthesizable HDL code from Stateflow charts for Mealy and Moore finite-state machines and control Logic implementations
Generates VHDL code that is IEEE 1076 compliant and Verilog code that is IEEE 1364-2001 compliant
Lets you create bit-true and cycle-accurate models that match your Simulink design specifications
Lets you select from multiple HDL architectural implementations for commonly used blocks
Lets you specify the subsystem for HDL code generation
Enables you to reuse existing IP HDL code (with EDA Simulator Link products)
Generates simulation and synthesis scripts

NEW

Fixed some bugs.

Simulink HDL CoderInformation

Version
1.5
Date
03.25.09
License
Trial
Language
English
File Size
N/A
Category
Operating Systems
Windows XP, 2000, 98
System Requirements
No additional system requirements.
MATLAB Icon
High-level language and development environment.
Demo
SimBiology Icon
SimBiology provides graphical and programmatic tools for Evolutionary Biology.
Trial
PHYLIP DNA parsimony (dnapars) GUI Icon
This package includes a modified version of dnapars.exe from Phylip 3.6
Free
SimHydraulics Icon
It a tools for modeling and simulating hydraulic power and control systems.
Trial
Bioinformatics Toolbox Icon
Read, analyze, and visualize genomic, proteomic, and microarray data.
Trial
Simulink 3D Animation Icon
Animate and visualize Simulink models in three dimensions
Trial
Signal Processing Toolbox Icon
Signal Processing Toolbox is a collection of industry-standard algorithms
Trial
SimPowerSystems Icon
Model and simulate electrical power systems
Trial
More
Microsoft Visual FoxPro 9.0 Service Pack Icon
Download Service Pack 2 for Microsoft Visual FoxPro 9.0.
Free
Visual Studio 2010 Professional Icon
Creating, debugging and deploying applications.
Free
Visual Studio 2010 Ultimate Icon
Simplifies solution development, lowering risk and increasing return.
Free
LaTeX Editor Icon
rapid TeX/LaTeX document processing
Free
GNU Compiler Collection Icon
The GNU Compiler Collection includes front ends for C, C++, Objective-C, etc.
Free
Visual FoxPro Setup Wizard Icon
Visual FoxPro 6.0 Setup Wizard
Free
Fingerprint SDK Icon
Kit for developing software with fingerprint recognition
Paid
Visual FoxPro 7.0 Service Pack Icon
An update for the released version of Microsoft Visual FoxPro 7.0.
Free
More